System verilog constraint question sol 2, randomize 16 bit var,consecutive 2 bits are 1, rest 0