How to make an ALU (Arithmetic Logic Unit) from logic gates and mux | nand2tetris project 02